KLA’s Guidance Disappoints (AMAT) (INTC) (KLAC) (LRCX)

Zacks

KLA-Tencor Corporation’s (KLAC) third-quarter earnings beat the Zacks Consensus Estimate by 15 cents on revenues that were in line. The weak guidance sent share prices down 5.0% in extended trading.

Revenue

KLA reported revenue of $729.0 million, which was up 8.3% sequentially and down 13.3% from the year-ago quarter. This was better than the mid-point of the guidance range.

KLA remains an equipment supplier in a weak demand environment, which is probably the worst spot to be in. Customers are making the most of existing inventory, and maintaining low utilization rates. Additionally, since each system is high-valued, there is a natural customer concentration, which results in great fluctuations in revenue/orders in times of weak demand. All these factors were evident from KLA’s third-quarter results.

Products generated 80% of total revenue, a 10.8% sequential increase and 17.3% year-over-year decline. Services revenue comprised the remaining 20%, flattish sequentially and up 7.1% year over year.

The two main product lines grew sequentially although growth rates were lower than the March quarters of the last two years. Defect inspection was up 16.4% sequentially and Metrology 16.1%. The two product lines were a respective 9.8% and 26.9% below the year-ago level.

The U.S., Taiwan and Korea grew revenue 58.5%, 1.8% and 40.2% on a sequential basis, while Japan, Europe & Israel, and Other Asia declined 34.1% and 8.5% and 47.8%, respectively. All except the U.S. and Taiwan declined double-digits from the year-ago quarter.

Orders

The last quarter was not such a good one for KLA in terms of orders, although the memory side of the business was quite strong.

The 2.9% sequential decline in sales was the net effect of a 59.9% increase at memory customers, 51.7% increase at logic customers and a 31.9% decline at foundries. Memory was the only segment to have grown from the year-ago quarter, but the 51.1% increase was not enough to offset the 25.6% decline in logic and 26.2% decline in foundry. Foundry, memory and logic customers contributed 47%, 28% and 25% of quarterly orders, respectively.

KLA’s fortunes are tied to the foundry segment, first because the company is more exposed to this market and second, because its process control equipment is in higher demand at foundries that are always looking to improve efficiencies in order to drive down costs. Historically, KLA has had low exposure to the memory segment, but management focus on the area and declines in other segments have made it a more significant contributor. KLA’s strength in the logic segment is tied to its relationship with Intel (INTC), which is en route to ramping its 14nm production.

The wafer inspection product line saw new system orders increase 3.2% on a sequential basis, while declining 16.3% year over year. Reticle Inspection was down 41.7% sequentially and 11.4% from a year ago. Metrology was up 2.2% sequentially and down 6.7% from last year. Solar, storage, HB LED and other products were down 2.9% sequentially and 33.6% from last year.

Europe, Japan and Other Asia/Pacific drove the increase in orders. Overall, the order contribution by geography was as follows—The U.S. 17%, Europe 17%, Japan 9%, Korea 10%, Taiwan 35% and Other Asia/Pacific 12%. The relatively higher concentration in Asia is due to the presence of a larger number of foundries and memory manufacturers in the region.

The six-month backlog at quarter-end was $1.10 billion, up 0.8% sequentially and down 17.9% from the year-ago quarter.

Margins

KLA’s gross margin expanded 279 bps sequentially while shrinking 37 bps year over year to 57.9%. The sequential increase was on account of higher revenues and lower reserves. The incremental gross margin stayed well above the targeted 60-70%.

Operating expenses of $212.9 million were up flat sequentially. The operating margin was 28.7%, up 532 bps sequentially and down 593 bps year over year. The sequential expansion in the operating margin was almost equally attributable to the stronger gross margin and lower R&D (as a percentage of sales) although SG&A was also slightly lower. Higher R&D and SG&A as a percentage of sales were responsible for the contraction from last year.

Excluding the impact of acquisition-related and restructuring charges on a tax-adjusted basis, the pro forma net income came in at $171.3 million, or 23.5% of sales, compared to $106.0 million, or 15.7% in the previous quarter and $215.8 million, or 25.7% of sales in the year-ago quarter.

Including the special items, the GAAP net income was $166.5 million ($0.98 per share) compared to income of $106.6 million ($0.63 per share) in the Dec 2012 quarter and $205.3 million ($1.21 per share) in the Mar quarter of last year.

Balance Sheet

Inventories were down 1.9% during the quarter, with inventory turns flat at 1.9X. Days sales outstanding (DSOs) went from 82 to around 57. KLA ended with cash and short term investments balance of $2.88 billion, up $301.3 million during the quarter. The company generated $4148 million of cash from operations, spending $18.3 million on capital expenses, $68.3 million on share repurchases and $66.6 million on dividends during the quarter.

Guidance

For the fourth quarter of fiscal 2013, KLA expects orders of $625-775 million and shipments of $740-800 million. Quarterly revenue is expected to be between $670 million and $730 million, gross margin 56-57.5%, opex to increase by $3-7 million, Other expense of $10 million and a tax rate of 24% yielding a non-GAAP EPS of between $0.66 and $0.86, below the Zacks Consensus Estimate of $0.86.

In Summary

KLA’s third quarter results and fourth quarter guidance indicate that the key foundry segment remains under pressure. Consequently, despite the improvement in the rest of the business, the sluggishness in its most important segment will contain growth. However, underlying drivers (process node transition and strong demand for in smartphones and mobile computing devices) should drive increased capex spending in the second half of the year.

The technical complexity of manufacturing semiconductors and increasingly challenging yield issues are long-term revenue drivers for the leading manufacturer of process control equipment.

KLA shares currently carry a Zacks Rank #3, but peers Lam Research (LRCX) and Applied Materials (AMAT), both carrying a Zacks rank #2 appear to be better investments at this time.

APPLD MATLS INC (AMAT): Free Stock Analysis Report

INTEL CORP (INTC): Free Stock Analysis Report

KLA-TENCOR CORP (KLAC): Free Stock Analysis Report

LAM RESEARCH (LRCX): Free Stock Analysis Report

To read this article on Zacks.com click here.

Get all Zacks Research Reports and be alerted to fast-breaking buy and sell opportunities every trading day.

Be the first to comment

Leave a Reply